㈠ verdi能减掉一部分波形吗
不能。
在VCS仿真命令中添加gui参数即迹激胡可调用DVE仿真。DVE也可以在仿真进行时观察波形,这里如果没有事先存储波形文件的话,需要在run命令前执行mp-add命令,对全部或指定姿拦层次的波形采样,截取波形样本。
Verdi自动化调试系统是VerdiSoC调试平台的核心,可为所铅激有设计和验证流程提供全面的调试功能。它包括强大的技术,可帮助您理解复杂和不熟悉的设计行为,自动化繁琐的调试流程,统一各种复杂的设计环境。
㈡ 怎么打开verdi看fsdb的波形
打开波形后切回verdi主窗口,将代码切换到有状态机的那个模块,渣运然后按下显示电路的那如闷梁个按钮,之后切罩宴回波形去看一下,状态机的数字都变成字母了~
㈢ 请教Verdi波形查看问题
孟升橘液松说的有道理,我之前也这样试过,因为吵物打开verdi的时候会自动生成一个verdiLog文件夹,假如你把这个文件删掉的话,SHIFT+L就不伍唯能重新加载波形了
㈣ 如何Dump波形文件并显示波形
常用的波形文件有如下三种,
1、trn文件,Cadence simvision支持,通过如下方式产生
$shm_open(“./wave”) ;
//产生竖宽消你所需要mp
波形的文件夹
$shm_probe(bench_top,”AS”);
//bench_top为举例,即你所需要mp的层
$shm_close;
2、fsdb文件,巧芦debussy/verdi支持,通过如下方式产生
$fsdbDumpfile(“./wave/top.fsdb”);
$fsdbDumpvars(5,bench_top);
//从bench_top开始,mp 5层;
$fsdbDumpoff;
3、vcd文件,该文件通用性更广,可以转换为任意格式,可惜文件余知太大,产生方式如下,
$mpfile(“./wave/top.vcd”);
$mpvars(5,bench_top);
$mpoff;
当然也可以同时产生,或者通过nc
option指定,
譬如在option中增加+TRN_DUMP/+VCD_DUMP/+FSDB_DUMP等
在.v文件中去解析这样的strings
initial begin
start_mp =10;
stop_mp =3000000;
finish_time=3000100;
#0;
begin
if($test$plusargs(“VCD_DUMP”))
$mpfile(“./wave/top.vcd”);
if($test$plusargs(“TRN_DUMP”))
$shm_open(“./wave”) ;
if($test$plusargs(“FSDB_DUMP”))
$fsdbDumpfile(“./wave/top.fsdb”);
end
#(start_mp);
begin
if($test$plusargs(“VCD_DUMP”))
$mpvars(5,bench_top);
if($test$plusargs(“TRN_DUMP”))
$shm_probe(bench_top,”AS”);
if($test$plusargs(“FSDB_DUMP”))
$fsdbDumpvars(5,bench_top);
end
#(stop_mp-start_mp);
begin
if($test$plusargs(“VCD_DUMP”))
$mpoff;
if($test$plusargs(“TRN_DUMP”))
$shm_close;
if($test$plusargs(“FSDB_DUMP”))
$fsdbDumpoff;
end
#(finish_time-stop_mp);
$stop;
$finish;
end
各种波形文件的打开方式
TRN
simvision ./wave/wave.trn
-input
../../signal_list/simvision.svcf
FSDB
debussy -f $FileList.f
-autoalias -ssf ./wave/top.fsdb -top
bench_top
-sswr
../../signal_list/top.rc
VCD
该文件需要被转换为trn或fsdb文件,然后打开;
转fsdb文件:
vfast ./wave/top.vcd -o ./wave/top.fsdb
&&
debussy -f $FileList.f
-autoalias -ssf ./wave/top.fsdb -top
bench_top
-sswr
../../signal_list/top.rc
转trn文件:
simvisdbutil -CVTMVL9 -OVERWRITE
-LOGFILE wolf.log -OUTPUT wave.trn top.vcd
&&
simvision ./wave/wave.trn
-input
../../signal_list/simvision.svcf
㈤ Verdi在波形上显示状态机状态方法
点击TOOL ->Extract interactive FSM -> All stages 即可
㈥ xcelium怎样生成fsdb文件
直接打开这个保存下来的波誉宏形。
fsdb(Fast Signal DataBase) 是Spring Soft (Novas)公司 Debussy / Verdi 支持的波形文件,一般较小,使用较为广泛,其余仿真工具如ncsim,modlesim等等可以通过加载Verdi 的PLI (一般位于安装目录下的share/pli 目录下) 而直接mp fsdb文件。fsdb文件是verdi使用一种专用的数据格式,类似于VCD,但是它是只提出了仿真过程中信号的有用信息,除去了VCD中信息冗余,就像对VCD数据进行了一次huffman编码。因此fsdb数据量小,而且会提高仿真速度。我们知慧厅道庆碧册VCD文件使用verilog内置的系统函数来实现的,fsdb是通过verilog的PLI接口来实现的。$fsdbDumpfile,$fsdbDumpvars等